汶川大地震抗震救灾 - 我们与你在一起
热忱欢迎广大读者和新老客户光临·Sincere welcome to my site
祝福大家身体健康,家庭和睦,生意兴隆
We best wish you health, family each love friendly, business easy
显示标签为“IC电子”的博文。显示所有博文
显示标签为“IC电子”的博文。显示所有博文

MEMS技术

MEMS是英文Micro Electro Mechanical systems的缩写,即微电子机械系统。微电子机械系统(MEMS)技术是建立在微米/纳米技术(micro/nanotechnology)基础上的21世纪前沿技术,是指对微米/纳米材料进行设计、加工、制造、测量和控制的技术。它可将机械构件、光学系统、驱动部件、电控系统集成为一个整体单元的微型系统。这种 微电子机械系统不仅能够采集、处理与发送信息或指令,还能够按照所获取的信息自主地或根据外部的指令采取行动。它用微电子技术和微加工技术(包括硅体微加工、硅表面微加工、LIGA和晶片键合等技术)相结合的制造工艺,制造出各种性能优异、价格低廉、微型化的传感器、执行器、驱动器和微系统。 微电子机械系统(MEMS)是近年来发展起来的一种新型多学科交叉的技术,该技术将对未来人类生活产生革命性的影响。它涉及机械、电子、化学、物理、光学、生物、材料等多学科。对 微电子机械系统(MEMS)的研究主要包括理论基础研究、制造工艺研究及应用研究三类。理论研究主要是研究微尺寸效应、微磨擦、微构件的机械效应以及微机械、微传感器、微执行器等的设计原理和控制研究等;制造工艺研究包括微材料性能、微加工工艺技术、微器件的集成和装配以及微测量技术等;应用研究主要是将所研究的成果,如微型电机、微型阀、微型传感器以及各种专用微型机械投入实用。
 本文出自微机电系统(mems)中国门户网:www.MEMSchina.com 本门户网站全球MEMS产品展示招商中...

数字IC设计 系统级物理设计

数字IC设计 系统级物理设计
龙芯1号物理设计及流片
LSI 667M/s物理层存储器接口芯片
Design of VLSI CMOS集成电路的物理结构
在符合USB 2.0标准的系统中引进物理层
新一代物理设计工具贯穿物理综合和布线
万兆以太网物理层编码芯片设计
数百万门芯片设计的物理原型设计方法
集成电路物理设计与工程实现课程介绍
MMIC和RFIC的CAD
65纳米时代提倡物理级DFM和基于属性的逻辑设计
如何解决IC设计过程中使用两种物理验证流程带来的问题
Magma用户组大会多篇论文分享IC物理设计技巧
深亚微米IC物理设计流程中的串扰控制
在IC物理设计中应用层次化设计流程Hopper提高产能
基于Calibre 工具的系统级芯片物理验证

数字IC设计 版图设计范例

数字IC设计 版图设计范例
LVS(Layout Verse Schematics)版图和电路比较
版图设计及其验证--ERC(电学规则检查)
The art of analog layout之翻译版(第一章)
The art of analog layout之翻译版(第二章)
Virtuso Layout Editor 快捷键归纳
layout布局经验总结
集成电路的版图设计 专题
TTL和LSTTL版图举例
版图设计举例: TTL五管单元与非门电路图
集成npn管的版图设计
最小面积晶体管
集成电路的版图设计规则
版图设计的一般规则
版图设计的准备工作
版图设计过程:由底向上过程
双极型电路的版图设计
版图设计举例
集成晶体管的版图设计
TTL电路的版图设计
LVS check的一点经验体会
印制板技术水平的标志
浅谈PCB
Diva 验证工具使用说明
DRC 规则文件的编写
版图提取文件的介绍
LVS文件的介绍
Diva 的用法
绘制版图
画standard cell的注意点
Dracula 描述部分(G-H)
建立版图文件
绘制inverter 掩膜版图的一些准备工作
Antenna Effect?
传统AP&R的思路
版图设计常见问题
Virtuoso非正常退出时版图的恢复
关于MOS的dummy问题
双极型器件layout一览
EEPROM工作原理是怎样的?
关于 .cdsenv 的小技巧
Verilog 的文本编辑器
在PC机上运行cadence
Mapping file的使用
画STD. CELL点线辅助法
Dracula 描述部分(A-C)
使用Calibre做LVL Check
Dracula 描述部分(D-F)
Dracula 描述部分(D-F)
Dracula 描述部分(D-F)
画图习惯与速度
欧位图辅助画图法
DRACULA中做LVL
用calibre比较两个Layout
ESD分析Part(1)
PCB 的简单介绍
从netlist中查找subckt
PCB Layout从零开始
打开virtuoso的一些功能
硅分析(Virtuoso Silicon Analysis)
加速布图(Accelerated Layout)
电阻布局的一点看法
双极电路的层次!
ESD 及LATCH UP
模拟电路版图(节选)
about stream number
Merge-模拟layout之艺术
表面安装PCB设计工艺
用skill填code
oasis版图数据格式
为什么不能让栅极 Floating?
16位双声道WAVE文件格式及SKILL程序
比例缩小原理
Dracula中MOS尺寸的计算
ESD分析Part(3)
Apollo相关提示--布线拥塞情况
ESD分析Part(2)
简单了解simulation-timemill
初识simulation工具-NanoSim
Protel软件在高频电路布线中的技巧
版图中的千变万化
CMOS电路的基本单元结构
GC-LPNP工作原理
assura RCX 简介
手工布线之我见!!
CMOS 版图设计中对电源总线噪声的考虑
CMOS 器件版图 DUMMY 图形
反向工程流程介绍
创建标准单元库的基本概念(二)
创建标准单元库的基本概念(一)
创建Pcell详细步骤(一)
创建Pcell详细步骤(二)
电路失效机制
Mixed-Signal Layout Issues
反向工程流程介绍
SCR结构可控硅及闩锁效应
用于ESD保护的几种器件(二)
从电路了解版图的影响-Current Mirror
版图对电路的影响—差分放大器(一)
如何创建power MOS版图单元
Layout Considerations for R. F. Design
Bond Pads
Active and Passive Devices
将铝线烧断到底
Some Rules for Matching
如何开始command file的编写
LVSCHK 部分参数
关于standard cell
实用star-sim做后仿真
layout中两个不常用命令
用calibre做LVL的两种简单方法
LVS实例一
latch up 分析
calibre 使用简介
Virtuoso Layout Editing 使用简介
Design Rule 相关介绍
剖析dracula command file 结构
Virtuoso中使用tech file产生新的device
模拟电路layout技艺-noise
Analog layout技艺-match
模拟电路layout技艺-parasitics
Pcell 的构成及使用
从电路了解版图的影响-Current Mirror

数字IC设计 FPGA设计

数字IC设计 FPGA设计
FPGA正进一步蚕食ASIC和ASSP的应用市场
利用FPGA IP平台实现基于8051微控制器的SoC
用FPGA实现低成本高性能的数据采集和控制卡
PLD/FPGA 结构与原理初步(一)
基于FPGA和SRAM的数控振荡器的设计与实现
嵌入式逻辑分析仪在FPGA设计中的应用
短波扩频猝发通信系统的 DSP+FPGA实现方案
如何解决FPGA电路设计中的毛刺问题
基于FPGA的 GFP成帧协议实现
短波扩频猝发通信系统的 DSP+FPGA实现方案
WCDMA系统基带处理的DSP FPGA实现方案
基于FPGA的相检宽带测频系统的设计
一种基于FPGA的无线局域网接入实现
FPGA设计流程
PLD/FPGA 结构与原理初步
基于模糊控制的迟早门同步器及其FPGA实现
数字签名算法SHA-1的FPGA高速实现
基于FPGA的多种形式分频的设计与实现
基于FPGA的四阶IIR数字滤波器
基于FPGA的直接数字频率合成技术设计
基于FPGA的电子稳像平台的研究
FPGA 简介
用PowerPC860实现FPGA配置
利用FPGA实现模式可变的卫星数据存储器纠错系统
PSD813F2在FPGA配置中的应用
基于FPGA的LCD&VGA控制器设计
基于FPGA的核物理实验定标器的设计与实现
基于FPGA的快速并行FFT及其在空间太阳望远镜图像锁定系统中的应用
多分辨率图像实时采集系统的FPGA逻辑设计
VHDL在高速图像采集系统中的应用设计
一种基于FPGA的误码性能测试方案
基于FPGA的高速高精度频率测量的研究
固定几何结构的FFT算法及其FPGA实现
基于FPGA的多路模拟量、数字量采集与处理系统
用FPGA实现数据远距离的高精度传输
FPGA设计中关键问题的研究
PSD813F2在FPGA配置中的应用
用PowerPC860实现FPGA配置
用XC9500 CPLD和并行PROM配置Xilinx FPGA
HDLC的FPGA实现方法
基于FPGA的总线型LVDS通信系统设计
基于FPGA的快速并行FFT及其在空间太阳望远镜图像锁定系统中的应用
采用PCM编码原理及FPGA编程技术实现PCM数字基群接口传输低速数据的接入
基于FPGA的USB2.0控制器设计
用FPGA设计软件无线电和调制解调器
从FPGA转换到门阵列
低电压PLD/FPGA的供电设计
VGA图像控制器的CPLD/FPGA设计与实现
基于FPGA的直接数字频率合成器的设计和实现
用FPGA实现非标码速向标准码速的调整
精简的FPGA编程方法
FPGA器件的在线配置方法

数字IC设计 ASIC设计

数字IC设计 ASIC设计
成功设计结构化ASIC的五个关键因素
ASIC 设计流程 (ADF)
FPGA将风光18年,ASIC设计师是未来的FPGA生力军
采用结构化ASIC设计方法来构建复杂的ASIC(一)
ASIC设计工具简单介绍
从ASIC设计中转换
采用结构化ASIC设计方法来构建复杂的ASIC(二)
平台ASIC架构突破传统ASIC设计局限性
ASIC及集成系统设计方法
ASIC设计流程
ASIC设计基础
ASIC设计概述-上海交通大学讲义
系统设计调查:ASIC重点
基于ASIC+FPGA的IPv6路由器PoS接口设计
成功设计结构化ASIC的五个关键因素
中芯与Magma合作ASIC设计项目
USB2.0 SIE 的 ASIC 设计与实现
明导Catapult C综合器的元件库加入ST标准ASIC设计套件
Cadence Encounter平台全面支持Virage Logic结构化ASIC设计库
应用于ASIC设计的低功耗SATA核
UNIX 常用指令总结,给大家分享!!

数字IC设计 VLSI系统设计

数字IC设计 VLSI系统设计
研制龙芯CPU的策略考虑
2.4GHz动态CMOS分频器的设计
解剖数字光处理器(DLP)投影器件
Cost of a product VLSI
VLSI process technology overview
Today’s VLSI Circuit Design
Hspice Menu
Awaves常用的功能
Start Hspice
How to LPE
How to LVS
Start PDRACULA
Start symbol
Start schematic
Start Layout
Start Cadence
VLSI design 事前準備
PC怎麼連工作站?
基本指令簡介--工作站使用初級入門
VLSI design Introduction

数字IC设计 EDA工具

数字IC设计 EDA工具
Debussy 教程
利用Synopsys工具的高性能数据路径综合
PrimePower 教程
Modelsim 教程
AC/DC设计、工艺模拟、器件模拟的EDA工具
印刷电路版设计的EDA工具
测试设计EDA工具
LSI Layout设计EDA工具
Hard/Soft协调设计EDA工具
模拟/数.模混合信号电路设计EDA工具
数字电路设计EDA工具
IC设计中先进库格式语言与EDA工具的结合
关注你的设计步骤:IC技术和工具面临经济瓶颈
Xilinx Foundation F3.1的结构及设计流程
Modelsim SE
[设计工具介绍]Eldo
[设计工具介绍]LBISTArchitect
IC前端设计工具
Magma工具简介
Mentor工具简介
Synopsys工具简介
Mentor ---ASIC /SOC 设计工具介绍
Synopsys工具介绍(一)
SPICE Overview 1
MODESIM 调用debussy的系统函数
MODESIM 中 PLI简介
MODELSIM中,Debussy 的数据转存函数
MODELSIM中,Debussy仿真辅助调试工具:
Modelsim使用中一些问题讨论
Modelsim中Wave窗口操作
MODELSIM中GUI 基本仿真步骤
ModelSim实现方法
MODELSIM仿真的目的与分类
ModelSim详解详解
基于OrCAD/PSpice9的电路优化设计
Cadence 使用参考手册2
Cadence 使用参考手册5
Cadence 使用参考手册3
Cadence 使用参考手册
Cadence 使用参考手册8
Cadence 使用参考手册7
第二章 MAX+Plus II应用简介
Cadence 使用参考手册4
Cadence 使用参考手册6

数字IC设计 Verilog硬件描述语言

数字IC设计 Verilog硬件描述语言
PLI步步为营 -- 一、PLI概述
PLI步步为营 -- 二、PLI中的helloworld程序
Verilog PLI教程 --- 第七部分 VPI 函数
Verilog PLI教程 --- 第五部分 PLI例子
Verilog PLI教程 --- 第三部分 PLI 程序
Verilog PLI教程 --- 第二部分 编写PLI应用程序
Verilog PLI教程 --- 第一部分 介绍
Verilog学习笔记(More)
Verilog设计经验点滴
Verilog HDL 程序举例 -- 7段译码器
Verilog HDL的基础知识
verilog HDL笔记更新
用Verilog HDL实现I2C总线功能
提高NC-Verilog仿真效率的技巧
Verilog Pli的基本使用方法(modelsim)
基于Verilog的SMBus总线控制器的设计与实现
SystemVerilog语言简介(一)
SystemVerilog语言简介(二)
SystemVerilog语言简介(三)
SystemVerilog语言简介(四)
使用Verilog实现基于FPGA的SDRAM控制器
基于Verilog HDL的CMOS图像敏感器驱动电路设计
Verilog HDL源程序库
基于Verilog-HDL的轴承振动噪声电压峰值检测
中文版Verilog HDL简明教程(1、2)
中文版Verilog HDL简明教程(3)
中文版Verilog HDL简明教程(4)
中文版Verilog HDL简明教程(5)
Verilog HDL 入门教程习题解答
Verilog HDL行为建模具体实例
Verilog HDL行为建模--- 过程赋值语句
Verilog HDL行为建模--- 顺序语句块
Verilog HDL 行为建模
Verilog HDL 数据流建模具体实例
Verilog HDL 数据流建模--*-阻塞赋值语句
Verilog HDL 数据流建模 --连续赋值语句
verilog HDL 结构化建模具体实例
Verilog HDL 结构建模实例化语句
Verilog HDL 结构建模--模块端口
Verilog HDL 结构建模--模块定义结构
Verilog HDL条件语句
Verilog HDL 连接运算符
Verilog HDL 条件运算符
Verilog HDL 按位逻辑运算符
Verilog HDL逻辑运算符
Verilog HDL算术运算符
Verilog HDL数据类型
Verilog HDL数字值集合
Verilog HDL 的书写格式
Verilog HDL 中有两种注释的方式
Verilog HDL 基本语法--标识符
Verilog HDL 中三种建模方式
Verilog HDL时延
Verilog HDL 建模概述
Verilog HDL 主要功能list
Verilog HDL 语言概述
Verilog HDL发展历史
Verilog HDL 简介
硬件描述语言
Verilog HDL入门教程
Verilog HDL第五章习题
Verilog HDL奇偶电路
Verilog HDL主从触发器举例
Verilog HDL 2-4解码器举例
Verilog HDL简单示例
Verilog HDL隐式线网
Verilog HDL实例数组
Verilog HDL门时延
Verilog HDL双向开关
MOS开关
Verilog HDL上拉、下拉电阻
Verilog HDL的三态门
Verilog HDL的多输出门
Verilog HDL的多输入门
Verilog HDL中的内置基本门
Verilog HDL第四章习题
Verilog HDL表达式种类
Verilog HDL连接和复制操作

Verilog HDL移位操作符
Verilog HDL归约操作符
按位操作符
Verilog HDL逻辑操作符
Verilog HDL相等关系操作符
Verilog HDL关系操作符
Verilog HDL无符号数和有符号数
Verilog HDL算术操作结果的长度
Verilog HDL操作符集
Verilog HDL操作数
Verilog HDL第三章习题
Verilog HDL参数描述及举例
Verilog HDL的real和realtime类型
time类型
Integer寄存器类型
存储器
Verilog HDL中reg寄存器类型
Verilog HDL寄存器类型表示
Verilog HDL中向量和标量线网
Verilog HDL中未说明的线网
supply0和supply1线网
tri0和tri1线网
trireg线网
wand和triand线网
Verilog HDL中wor和trior线网
Verilog HDL的wire和tri线网
Verilog HDL线网类型
Verilog HDL字符串表示
Verilog HDL中的实数形式
Verilog HDL整型数
Verilog HDL值集合
`celldefine 和 `endcelldefine
`unconnected_drive和`nounconnected_drive
`timescale
`resetall
`include
`include
`default_nettype
`ifdef、`else 和`endif
`define 和`undef
Verilog HDL编译指令
Verilog HDL系统任务和函数
Verilog HDL中的注释
Verilog HDL中的标识符
Verilog HDL第二章习题
Verilog HDL设计模拟
Verilog HDL混合设计描述方式
Verilog HDL结构化描述形式
Verilog HDL行为描述方式
Verilog HDL数据流描述方式
Verilog HDL的时延
Verilog HDL主要能力
Verilog HDL发展历史
Verilog HDL简介
中文版Verilog HDL简明教程
中文版Verilog HDL简明教程:第2章 HDL指南
中文版Verilog HDL简明教程:第3章 Verilog语言要素
中文版Verilog HDL简明教程:第4章 表 达 式
中文版Verilog HDL简明教程:第5章 门电平模型化
中文版Verilog HDL简明教程:第1章 简介
Verilog HDL参考文献、书目:收集国内出版发行的Verilog HDL教程与相关文献
中文版Verilog HDL简明教程
HDL编码风格与编码指南

数字IC设计 VHDL硬件描述语言

数字IC设计 VHDL硬件描述语言
编码风格 --- 与综合相关
编码风格 --- 注释
编码风格 --- 编写风格
硬件描述语言HDL的现状与发展
硬件描述语言(HDL)的基础知识及其应用
谈VHDL/Verilog的可综合性以及对初学者的一些建议
在PLD开发中提高VHDL的综合质量
硬件描述语言HDL的现状与发展
在PLD开发中提高VHDL的综合质量
VHDL中Loop动态条件的可综合转化
The VHDL Golden Reference Guide
HDL模块指南
VHDL 程序举例大全-组合逻辑
VHDL 程序举例大全-时序逻辑
VHDL 程序举例大全-基本语法
VHDL 程序举例大全-存储器举例:(注3)
active hdl与Foundation
Verilog中模组(module)的概念
Candence psd14 如何安装?
HDL与PLD/FPGA参考书籍
可编程逻辑器件的发展历程及概述
VHDL有关的书籍
状态机举例
学习HDL的几点重要提示
Verilog讨论组精彩内容摘录(一)
Verilog讨论组精彩内容摘录(二)
Verilog讨论组精彩内容摘录(三)
基于FPGA的FIR滤波器的实现
中文版Verilog HDL简明教程
HDL语言
行为描述
关於motion.h里的一些疑问
脚位需要全都定义上去或只需部分即可?
电路排版时在MAP时产生失败现象
结构描述
安装VHDL Foundation 光碟片注意事项
HDL仿真软件
HDL开发流程
Hardware
VHDL设计中电路简化问题的探讨
Verilog 设计初学者例程一 时序电路设计
选择VHDL还是verilog HDL?
HDL与原理图输入法的关系
FPGA设计中的编程技巧
集成的PLD/FPGA开发环境
HDL逻辑综合软件
HDL其他相关软件
SyStemC架起了桥梁
synopsys经典教程
经典电路的设计
Verilog 设计初学者例程一 时序电路设计
cadence ic design 5.0.3.3软件下载
HDL前端输入与系统管理软件
VHDL介绍
怎么用AHDL暂存一组数据
电子设计自动化中的硬件描述语言
protel99se与VHDL
格雷码转自然码的VHDL实现
Verilog与VHDL
讲讲characterize命令
两个Process中对同一个信号赋值
密码刷新输出电路
奇数次分频器
PLC的英文全称是什么
双时钟计数器
实际应用时Verilog在许多方面强于VHDL
格雷码计数器用vhdl怎样实现?
Instantiating LPMs in Verilog
PLC与FIELD BUS
关于systemverilog的透彻分析
LVDS Example
LATCH的产生
instantiating the lpm_ram_dq component
Synplicity网站有Synplify7.01
采用基于C语言的设计流优化语音识别芯片结构设计
WEBPACK是免费的开发工具
VLSI设计方法和工具的发展
5分频的摸块用Active HDL如何设计?
X_HDL3 VERILOG to VHDL
Active HDL 4.2 876的注册文件
对systemc的看法
关于写Verilog的一点建议
编了个计数器程序与TESTBENCH

集成电路推荐知识

数字集成电路设计>> ◎VHDL语言 ◎Verilog HDL语言 ◎EDA工具 ◎VLSI系统设计 ◎ASIC设计 ◎FPGA设计 ◎版图设计 ◎系统级物理设计 ◎硅片逻辑 ◎数字IC实现策略 ◎可靠性与可测试性设计 ◎产品化设计 ◎MOS逻辑电路 ◎半导体存储器 ◎数字电路的时序问题 ◎芯片输出输入电路---------------------------------------------------------------------------------------- 模拟与射频集成电路设计>>◎放大器 ◎比较器 ◎开关电容电路 ◎数模和模数转换器 ◎传输线分析 ◎Smith圆图 ◎单端、多端口网络 ◎射频滤波器设计 ◎有源射频元件 ◎有源射频电路器件模型 ◎匹配网络和偏置网络 ◎射频晶体管放大器设计 ◎振荡器和混频器 ---------------------------------------------------------------------------------------- 芯片制造与半导体工艺>> ◎半导体材料和工艺化学品 ◎晶圆制备 ◎污染控制 ◎工艺良品率 ◎氧化 ◎图形转换(光刻/刻蚀) ◎淀积 ◎掺杂 ◎工艺整合 ◎工艺模拟 ◎晶圆加工中的商务因素 ◎分立器件工艺 ◎半导体设备 ◎退火(热处理) ◎工艺和器件评估 ◎芯片制造概述---------------------------------------------------------------------------------------- 其他资料>> ◎SMT贴片封装 ◎BGA封装 ◎封装设计 ◎封装材料 ◎封装工艺 ◎封装仿真 ◎系统级封装 ◎晶圆测试 ◎集成电路应用 ◎专业词典
行业动向 企业 集成电路产业大全
学习资料 数字 模拟 工艺 其他 更多


游戏·上海麻将连连看·射击游戏 http://bunuoke000.blogspot.com/2007/02/blog-post_24.html

我的简介

我的照片
guangzhou, Guangdong, China
我心飞翔,万里长空任飘扬!忙得最无聊的杀手!请不要紧张啦,还只是初级阶段。
这里是“中文e讯”为大家提供的天气资讯服务,本系统的天气预报数据完全同步更新自“中国气象局”,您可以及时准确的获得全中国及全球主要城市未来24~72小时的天气预报,希望我们的气象信息服务使您的工作和生活更加便利.
123456789 0